varint.hon commit Merge branch 'rj/no-xopen-source-for-cygwin' (ca70473)
   1#ifndef VARINT_H
   2#define VARINT_H
   3
   4extern int encode_varint(uintmax_t, unsigned char *);
   5extern uintmax_t decode_varint(const unsigned char **);
   6
   7#endif /* VARINT_H */